新千葉 ガーベージ・コレクション

FPGA マガジンやインターフェースで書けなかったこと等をちょぼちょぼ書いてます。@ryos36

2009-07-01から1ヶ月間の記事一覧

scheme とグラフィック

なんとか scheme で set-pixel できるようになった。ここが目標じゃないけど、、、set-pixel なら2年前に達成しているしな〜〜。進歩がないぞ。

JTAG 小冊子

あ〜けっきょく申し込まなかった。私が申し込むと一人分商売できなくなるからね。落丁でもいいからもらえないかな。

ビデオドータボード購入

FMC で接続可能なビデオドータボードを購入した。digikey で購入。chip1stop でも購入できるが値段が違う。Virtex-6 のボードを購入するとすぐに!!ビデオ入力キットとして使えるはず。本当か?

GMC-4

http://www15.atwiki.jp/gmc4/ 早速購入して組み立ててみる。食事の前に数十分で完成。これでいいのか?LED がピコピコするのが子供(小学校5年)に受けていた。

ロームから新しいチップが

「ドライブレコーダ用LSI BU1511KV2」が発売された。CPU を搭載していてARM9だそうだ。サンプル価格:1800円/個だそうだが、チップワンストップで買えるのだろうか?省エネに貢献するかどうかは微妙だがドライブレコーダという分野は面白そう。このちっぷ、J…

Spartan-6

Spartan-6 のボードはどう使うのが良いのだろう?個人的には画像入力・出力をしたいので lvds の入出力が出来るようになっていって欲しいかな。Spartan-3 でも(いまやりたいことは)制約をがんばればうまくいくことはわかっているのでなにも Spartan-6 でが…

JTAG 小冊子

web 以外というからトラ技とインタフェースをチェックしてしまった。発見できない、、、まぁお客さんを大事にするということで当たり前だけどそうだよね。13 日に申し込めるといいな、、、あーやっぱりECNだったか。自分の記事載ってたのに、、、、

SICP の通り

SICP の通りにプログラムしたら半日程度で scheme が出来た。bison と yacc とうまく掛け合わせたい。しかし、最終的には lisp (scheme じゃなくてね)の実装(すでにK君がつくっている)を中心につかっていきたいけどね。scheme はあくまで習作。

魚眼レンズの画像を補正

http://www.xylon.jpにも書いたが、魚眼レンズでつくられたひずんだ画像をリアルタイムに補正・修正するキットを作った。絵がなさけない。PC 用のキャリブレーション・ソフトもあるのでオール・イン・ワンだ。このキットのいいところは実行時には PC を必要…

opb の iic について

Xilinx 提供の opb_iic のライセンスが 2009/7/1 で切れる件について。Xilinx から新しいライセンスファイルを download すれば問題なさそう。Xilinx のサイトにログインして、 http://www.xilinx.com/support/download/index.htm にアクセス後、opb_iic の…