新千葉 ガーベージ・コレクション

FPGA マガジンやインターフェースで書けなかったこと等をちょぼちょぼ書いてます。@ryos36

2015-10-28から1日間の記事一覧

SDI の xyz を VHDL で書いてみる

SDI の規格の xyz を VHDL で書いてみた。f は P なら常に0になる(I なら交互に 0/1)。 st2018-2-2011.pdf という資料がわかりやすかった。 function create_xyz(f: std_logic; v: std_logic; h: std_logic) return std_logic_vector is variable xyz: std_…