新千葉 ガーベージ・コレクション

FPGA マガジンやインターフェースで書けなかったこと等をちょぼちょぼ書いてます。@ryos36

AR# 61656 - 2014.2 - Vivado_DRC : (REQP-52) - 「GTXE2_CHANNEL cell gtxe_xx: Use of the GTGREFCLK is reserved for test purposes only. This has the lowest performance of the available clocking methods and can degrade transceiver performance.」というエラー メッセージが表示される
以前は、このメッセージの重要度はアドバイザリ (Advisory) でしたが、2014.2 リリースではエラーに変更されています。

だって。
動かなくなっちゃったじゃん。
「GREFCLK 入力は、内部テスト専用です。 」

いやいや、X の" 7 Series FPGAs Transceivers Wizard" で作っててこれですから。