新千葉 ガーベージ・コレクション

FPGA マガジンやインターフェースで書けなかったこと等をちょぼちょぼ書いてます。@ryos36

2015-10-10から1日間の記事一覧

AR# 61656 - 2014.2 - Vivado_DRC : (REQP-52) - 「GTXE2_CHANNEL cell gtxe_xx: Use of the GTGREFCLK is reserved for test purposes only. This has the lowest performance of the available clocking methods and can degrade transceiver performance.…

PJTAG

PJTAG through EMIO gives critical warning - Community ForumsPJTAG を EMIO にだすとき、 vivado が間違ったコードを吐き出すみたい。 ##################################################​########################## # PJTAG constraints # ##########…