新千葉 ガーベージ・コレクション

FPGA マガジンやインターフェースで書けなかったこと等をちょぼちょぼ書いてます。@ryos36

2011-05-08から1日間の記事一覧

でもって結果

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity HALF_ADDR is port ( A : IN STD_LOGIC ; B : IN STD_LOGIC ; S : OUT STD_LOGIC ; CO : OUT STD_LOGIC ); end entity HALF_ADDR ; architecture DATAFLOW of HALF_ADDR is signal C : STD_LOGIC; signal…

さてやっとこさ VHDL

やっと VHDL を書く環境(体制)に戻った。なにをするか?究極の目的はプロセッサーを作る環境。オペコードとコンパイラを総合的に用意する。 中心となる技術は Lisp と C++ になるだろう。ってことで早速 Lisp で VHDL を書いてみる。 (:vhdl (:library IEE…